Placa de desenvolvimento FPGA barata [fechada]


12

Quero começar com o FPGA, mas nunca trabalhei antes.

Quero um kit barato, mas não sei por onde começar. Posso encontrar placas baratas, mas não consigo obter informações sobre o programador ou o compilador, por isso espero que você possa ajudar.

Eu quero algo realmente barato (US $ 30 - US $ 40 no máximo), preferencialmente com alguma maneira não proprietária de programação e depuração (talvez JTAG?) Que possa programar usando algumas linguagens como WinCUPL ou VHDL.

Encontrei a placa Diligent Cmod com um CPLD Xilinx em outro segmento, mas não consigo encontrar como programo / depuro (software e hardware extra envolvidos).


Pergunta de compras; provavelmente fechado, pois essas respostas mudam com o tempo.
Brian Carlton




Esta é uma pergunta de 4 anos e validamente ontopica na época. Por que somos VTC?
Transeunte

Respostas:


10

Essa placa é uma placa CPLD, semelhante, mas você indica uma placa FPGA na pergunta. A programação será feita pela JTAG, e vários fornecedores fazem as coisas de maneira diferente, de modo que não há (satisfatória) solução "um programador para todos os fornecedores" (deixe-me saber se você encontrar uma :-)) Existem coisas como OpenOCD e OpenJTAG e Presto, Wiggler , etc. Você precisaria do programador Xilinx JTAG (ou um clone do eBay deve funcionar bem, ou um dos itens do tipo Presto / Wiggler, mas você está arriscando frustração ..) para programar este quadro.

No entanto, muitas das placas FPGA demo têm a capacidade de programar via USB (ao contrário da placa CPLD acima, que é apenas através de um cabeçalho JTAG). Você precisará do Xilinx IDE (ISE Webpack, penso - baixe aqui ), que pode ser baixado do site deles e do software de programação. Não tenho certeza de todos os detalhes, pois uso FPGAs da Actel (agora Microsemi). Espero que alguém possa confirmar o acima.

Embora eu não tenha usado o Xilinx, conheço alguns que receberam uma das placas da Nexys e ficaram felizes com elas, embora estejam acima do seu orçamento. No entanto, o eBay pode comprar alguns mais baratos. Se você não sabe muito sobre FPGAs e não sabe por onde começar, uma das placas Digilent baseadas em USB é provavelmente a sua melhor aposta, pois elas vêm com tudo o que é necessário para programar e muitos documentos / tutoriais / exemplos de design.

Você programará em Verilog ou VHDL (ou System Verilog, ou algumas outras opções). O
EDABoard possui um bom fórum sobre FPGA / CPLD para quando você fica preso e o FPGAforfun é outro site decente (com algumas placas disponíveis por acaso)

Prepare-se para um caminho mais difícil com FPGAs do que, por exemplo, Microcontroladores, há muito menos por aí, por exemplo, e as ferramentas de design (compilar, simular, sintetizar, local / rota / tempo, etc) são muito complexas - prepare-se para muito of reading :-) Tendo dito que você deve colocar algumas coisas simples em funcionamento rapidamente, com uma boa placa de desenvolvimento.


4

O ponto de entrada mais barato é provavelmente uma dessas placas Cyclone II, o exemplo é a placa de desenvolvimento FPGA Altera Cyclone II EP2C5T144. Você precisará de uma interface JTAG que possa ser obtida do mesmo fornecedor. Você precisará das ferramentas gratuitas Quartus II, que podem ser baixadas no site da Altera e suportam VHDL, Verilog e entrada esquemática. Eu tenho uma dessas placas e funciona muito bem. Os circuitos externos devem ser adicionados via PCBs que são conectadas através de um ou mais dos cabeçalhos. Criei esta página da Web para ajudar os usuários a começarem a usá-la.

Outra placa que tenho é esta da Digilent, que pode ser usada com o software ISE gratuito disponível na Xilinx. Um cabo JTAG também é necessário com essa placa. Este quadro é mais fácil de usar, pois há muitos exemplos disponíveis.


1
Eu também tenho uma daquelas "mini" placas FPGA Altera Cyclone II. Eu me deparei com algumas coisas estranhas com as minhas. Ele veio com jumpers de 0 ohm (R1, R2, R9, R10) instalados, que são para o dispositivo EP2C8 e não para o EP2C5; acabei de removê-los para restaurar esses pinos à funcionalidade de E / S do usuário. A outra coisa que eu não entendi (mas deixei sozinho) é o filtro R / C 10K / 10uF (?) No pino 73; não sei para que serve. Além dessas poucas coisas estranhas, eu diria que é um belo quadro de barebones.
Craig

Esse R / C no pino 73 pode estar lá para uma função de atraso de inicialização, se alguém precisar.
Leon Heller

O CycloneII está sendo desativado, então o QuartusII o suportará apenas até 13.0.
Simon Richter

3

Embora essas placas funcionem de maneira definitiva, eu recomendo obtê-lo: http://www.aliexpress.com/product-fm/482507559-FPGA-Altera-Cyclone-EP1C6-NIOSII-FULL-Devlopment-Board-WB050-wholesalers.html

São 44 $ entregues, mas há muitas coisas para experimentar a bordo.

Para programar isso, você precisaria do Altera USB blaster, http://www.aliexpress.com/product-fm/473816005-USB-Blaster-ALTERA-CPLD-FPGA-programmer--wholesalers.html

13 $ entregues. Portanto, por 57 $, você terá tudo o que precisa para cavar no FPGA. Eu pessoalmente encomendei uma versão um pouco mais cara desta placa, que possui chip EP2C8, além de que é a mesma.


Provavelmente é uma boa escolha, vou tentar encontrá-lo em um distribuidor próximo (são $ 60 para Portugal)
rnunes

A remessa pós HongKond deve ser gratuita.
BarsMonster

1
Não é um Altera USB Blaster, embora afirme ser "100% compatível com o oficial ALTERA USB Blaster". Eu gosto de clones, mas colocar ilegalmente um logotipo da Altera no produto é demais. Também me faz pensar se a outra placa realmente possui um FPGA da Altera ou se é também um clone. Esse "Terasic Blaster" é o clone que eu uso, eles são muito mais honestos em ser um clone e não como programador oficial da Altera.
Ben Voigt

@ Ben Voigt posso perdoar tudo isso para deixar 90% do dinheiro no meu bolso ;-)
BarsMonster

Você recebeu esquemas em seu pedido? Com os novos clones chineses, é necessário sempre verificar o paradeiro dos esquemas - particularmente com o fraco conhecimento chinês. "barato" não importa se é de baixa qualidade, por exemplo .
Hhh #

2

Eu recomendaria definitivamente o Altera DE0-Nano. Possui o mais recente FPGA do Cyclone 4, LEDs e switches on-board, um acelerômetro e ADC. Seria uma boa escolha para iniciantes. Pode ser um pouco caro (US $ 79 ou US $ 59), mas acho que vale a pena pagar mais pelos recursos que ele já integrou.

A Altera também possui estes tutoriais / recursos para iniciantes, visando o DE0-Nano aqui: http://www.altera.com/education/univ/materials/comp_org/tutorials/unv-tutorials.html


2
Parece realmente bom, mas eu preciso comprar o USB Blaster (ou outro programador) também?
rnunes

@rnunes Não, você não precisa - fonte . Nb. Eu li os escritos de Leon em algum tópico do fórum, onde um ponto era que talvez fosse mais fácil ter uma placa sem o USB blaster, boato: as instruções de Altera estão desatualizadas.
Hhh #

2

Eu passei por este FPGA VHDL livre curso recentemente e foi ótimo. Ele aborda vários projetos diferentes e fornece uma visão geral do idioma. Existem algumas coisas que você pode fazer em seus próprios projetos, o que pode ser frustrante se você começar e não souber para onde ir, mas foi um grande desafio.

Usei a placa Basys 2 e funcionou muito bem no curso. Eu não usei mais nada além das placas Digilent, mas acho que o programa deles tem um ótimo depurador e carregador de arquivos de bits. insira a descrição da imagem aqui


Você só pode comprar o Basys 2 no site da Digilent. Realmente não vejo razão para isso: o correio me custa a mesma quantia que o conselho, mesmo com desconto acadêmico. Espero que o EP2C5T144 FPGA possa ser usado para seguir o curso, +1.
Hhh

@hhh Talvez o MLM estivesse oferecendo uma sugestão para o pôster original e não para você. O Basys2 é uma boa opção se você puder obter o desconto para estudantes.
21813 Joe Hass

1

FreeRangeFactory.org oferece um livro sobre VHDL e alguns chips XuLA FPGA. O livro está disponível on-line aqui , parece material de alta qualidade. O preço do cartão e do livro enviado é inferior a 100 USD.

Eu não comprei este conjunto, mas pode ser um bom ponto de partida, ainda investigando.


0

Eu recomendo o Open Workbench Logic Sniffer do Seeed Studio. Observe o triplo 'e' em Visto.

O custo é de US $ 50 e o conselho inclui um FPGA Spartan3E XC3S250E-VQ100, bem como um PIC18F24J50 usado para conversar com o FPGA. Existem 16 pinos somente de entrada em buffer com um M74LCX16245DTR2G (tolerante de -0,5V a + 7V) e mais 16 pinos de E / S trazidos para os cabeçalhos ao longo de uma borda da placa.

Todo o código do Logic Analyzer é de código aberto, o que oferece um bom ponto de partida. Quando você terminar de jogar com o FPGA, poderá transformar a unidade novamente em um Logic Analyzer realmente útil.

Mais documentação aqui

Ao utilizar nosso site, você reconhece que leu e compreendeu nossa Política de Cookies e nossa Política de Privacidade.
Licensed under cc by-sa 3.0 with attribution required.