IDE grátis para VHDL e Verilog [fechado]


Respostas:


30

Primeiro de tudo: seja bem-vindo ao mundo do design lógico.

Segundo, você precisa entender o designflow "designflow" (palavra-chave importante!) No VHDL / Verilog é:

  1. pense em um design que você deseja implementar, por exemplo, um somador
  2. implementar o design em VHDL / Verilog
  3. implementar um banco de testes em VHDL / Verilog
  4. use o testbench para simular seu design (da etapa 2)
  5. se isso funcionar e a simulação for bem-sucedida, tente sintetizar o design
  6. faça todas as outras coisas, como mapa, local e rota
  7. construir um arquivo .bit
  8. use seu JTAG para programar seu FPGA
  9. LUCRO! (esperançosamente)

Então, como você pode ver, essas são muitas etapas. para alguns deles, estão disponíveis ferramentas de código aberto. Somente o gratuito seria muito chato, então tentarei mostrar o que o mercado tem.

  • para a etapa 1), o mais importante é o Libre / openOffice Calc, papel e lápis e, se você tiver FSMs grandes, talvez Qfsm
  • passo 2) você precisa de um bom editor, pegue o que você gosta e está tudo bem. existem alguns especializados em VHDL, o melhor é o sigasi (você precisa pesquisar no Google, prevenção de spam). Para esta tarefa, você já pode usar um dos IDEs de grandes fornecedores, mas confie em mim, NÃO é isso que você deseja
  • passo 3) -> passo 2
  • etapa 4) aqui estão algumas possibilidades: Xilinx ISim, (Altera) Modelsim, (Lattice) Aldec, ghdl em combinação com GTKWave. Eu acho que existem mais simuladores, mas isso deve ser suficiente para começar. Todas essas ferramentas são apenas simuladores, embora tragam um IDE completo (exceto ghdl).
  • Etapa 5) faça um favor a si mesmo e use a ferramenta fornecida pelo fabricante do seu FPGA. se você é experiente o suficiente, você pode tentar Icarus Verilog .
  • etapas 6 e 7) não há outra chance senão usar as ferramentas do fornecedor
  • Etapa 8) Muitas ferramentas fazem isso, mesmo as ferramentas fornecidas pelos fornecedores de FPGA. Eu gosto de usar o commandlinestuff, por isso continuo usando alguns programas que piscam obscuros, mas as ferramentas do fornecedor também são boas.

espero poder ajudar


1
O que há de errado exatamente em usar os editores incluídos nas ferramentas do fornecedor? Eles parecem básicos, mas funcionais.
precisa saber é o seguinte

sim, eles são muito básicos, mas pelo menos o linux do xilinx e altera nem tem edição de bloco fácil. Isso é muito frustrante se você quiser blocos de comentário-out desde VHDL não tem comandos de bloco
milch

1
Estou na versão do Windows e há uma opção "bloco de comentários" no menu de contexto. Para outras operações de edição de blocos, você está por sua conta: P
drxzcl

6

Qualquer IDE de programação ou editor de texto pode realmente ser usado com linguagens de descrição de hardware, e qualquer decente deve ser capaz de iniciar uma cadeia de ferramentas de compilação (simulação de destino ou hardware). Como resultado, a pergunta real para realizar alguma coisa é "quais compiladores de HDL gratuitos estão disponíveis" - com respostas como Icarus Verliog, GHDL, etc. Emparelhe-as com o emacs ou qualquer outra coisa e você estará pronto.

No entanto, quando muitas pessoas solicitam um "IDE", o que elas querem dizer é algo liso, pronto para ir, geralmente com algumas dicas / ajuda contextuais. A resposta geral para isso é a limitada "versão web" dos conjuntos de ferramentas internos oferecidos pelas principais empresas de FPGA, como Xilinx (ISE) ou Altera (Quartus). Na verdade, você não precisa ter nenhum hardware da respectiva empresa para compilar projetos ou jogar com qualquer licença (geralmente limitada em tamanho ou tempo) para um simulador que eles incluam. Dito isto, os US $ 50-150 para obter uma placa FPGA básica podem tornar a experiência muito mais "real" e expô-lo a algumas vezes diferenças surpreendentes entre o que acontece em um simulador e em um circuito real (geralmente resultante de coisas que você tem negligenciado para especificar completamente,


5

Melhor opção: Siagsi . Independente ou plug-in no Eclipse (eles serão muito parecidos). A versão gratuita não possui funções de refatoração de código e similares, mas se reduz a um "IDE VHDL" - exatamente o que você deseja.


4

Atualmente, estou usando o IDE gratuito do Xilinx. Você pode baixá-lo aqui (supondo que você não mora na Coréia do Norte etc.): http://www.xilinx.com/support/download/index.htm

Atualmente, é chamado de "ISE Design Suite", mas ao longo dos anos, o Xilinx o renomeou. Embora seja gratuito, não pode ser usado em FPGAs Xilinx verdadeiramente grandes ou muito modernos. Estou usando-o agora em um design Spartan6 LX45, o painel Digilent Atlys (que atualmente custa US $ 200 para pessoas na academia e US $ 349 para quem está fora): http://www.digilentinc.com/Products/Catalog.cfm?NavPath = 2.400 & Cat = 10 & FPGA

O outro fornecedor principal de FPGA é a Altera. Eles também têm placas de teste e um IDE gratuito chamado "Quartus": http://www.altera.com/products/software/sfw-index.jsp


3

Com IDEs regulares , você fica preso ao que eles oferecem. Mas com o Emacs 24 , você pode personalizá-lo para qualquer desejo bizarro que tenha! Eu o uso nos modos prelúdio e recuo de destaque . Olha que purty!

insira a descrição da imagem aqui

O Emacs não é exatamente um IDE, mas por que não torná-lo um?

  • Controle de versão
  • Teclas de atalho para executar seu compilador externo, ferramenta de cotão, simulador, criar arquivo etc.
  • Pode adicionar código dobrável
  • Teclas de atalho para inserir blocos de código comuns
  • Comentários automáticos
  • Você provavelmente já tem!

3

Aqui estão alguns IDEs gratuitos:

SystemVerilog, Verilog, VHDL e outros HDLs

  • O EDA Playground é um IDE baseado em navegador da Web que oferece um editor com destaque de sintaxe e uma variedade de simuladores. Como ele roda em um navegador da Web, não há nada para instalar. É bom para protótipos pequenos, mas não para projetos grandes.

SystemVerilog e Verilog

  • O SVEditor é um plug-in do Eclipse. Você precisa fornecer seu próprio simulador. Além disso, ele indexa 1 arquivo por vez, o que é mais restritivo do que muitos simuladores comerciais permitem. Recomenda ter um único arquivo de nível superior para a indexação.

1

Não há realmente um IDE completo disponível para o design RTL.

Sua melhor aposta é começar com o emacs ou vi com um plug-in de sintaxe vhdl ou verilog e remapear algumas teclas de função para compilar, executar e executar algumas coisas básicas de controle de versão. A conclusão do código básico é incorporada aos editores, mas eles não têm conhecimento do VHDL / Verilog.




0

Recentemente, encontrei um bom editor de VHDL / Verilog ( http://www.vide-software.at ), que é um plug-in para o Microsoft Visual Studio. Se você é um estudante, é de graça. Caso contrário, uma licença custa apenas cerca de 30EUR.

Se você conhece e gosta do Visual Studio, vai gostar deste plugin! Também é bastante sofisticado, pois suporta renomeação, localização de referências, definição de goto, conclusão de código, etc. A maioria dos editores (gratuitos) que experimentei antes estavam com falta desses recursos.

Ao utilizar nosso site, você reconhece que leu e compreendeu nossa Política de Cookies e nossa Política de Privacidade.
Licensed under cc by-sa 3.0 with attribution required.