Perguntas com a marcação «fpga»

Um arranjo de portas programável em campo (FPGA) é um chip lógico configurado pelo cliente após a fabricação - portanto, "programável em campo".

2
Número entre colchetes no esquema FPGA
Estou estudando os esquemas de uma placa de desenvolvimento FPGA. Notei que muitos pinos têm um número entre colchetes. Anexo uma captura de tela de uma parte dos esquemas, com esses números circulados em verde. Além disso, à direita do círculo verde, há um pino com [2,5] antes do nome. …
12 fpga  schematics 



4
Design de firmware FPGA: Quão grande é muito grande?
Eu tenho uma transformação de processamento de sinal particularmente grande que precisa ser portada do matlab para o VHDL. Definitivamente requer algum tipo de compartilhamento de recursos. Um pouco de cálculo me deu o seguinte: 512 pés de 64 pontos 41210 operações de adição múltipla Considerando que o maior FPGA …
12 fpga  vhdl  xilinx 

7
Placa de desenvolvimento FPGA barata [fechada]
Fechadas. Esta questão está fora de tópico . No momento, não está aceitando respostas. Deseja melhorar esta pergunta? Atualize a pergunta para que ela esteja no tópico do Electrical Engineering Stack Exchange. Fechado há 4 anos . Quero começar com o FPGA, mas nunca trabalhei antes. Quero um kit barato, …
12 fpga  vhdl  jtag 

6
recomendações de livros sobre FPGA [fechado]
Fechadas. Esta questão está fora de tópico . No momento, não está aceitando respostas. Deseja melhorar esta pergunta? Atualize a pergunta para que ela esteja no tópico do Electrical Engineering Stack Exchange. Fechado há 2 anos . que títulos de livros você recomendaria para começar com FPGAs e VHDL? edit …
12 fpga  books  vhdl 

5
Um FPGA é viável para esse projeto?
Atualmente, estou trabalhando no Super OSD - um projeto de exibição na tela. http://code.google.com/p/super-osd possui todos os detalhes. No momento, estou usando um dsPIC MCU para fazer o trabalho. Este é um DSP muito poderoso (40 MIPS a 80 MHz, operações de ciclo único de três registros e uma unidade …



4
Quando é melhor usar representações de VETOR vs INTEIRO?
No tópico de comentário de uma resposta a esta pergunta: Saídas incorretas na entidade VHDL , foi afirmado: "Com números inteiros, você não tem controle ou acesso à representação lógica interna no FPGA, enquanto o SLV permite fazer truques como utilizar a cadeia de transporte com eficiência" Então, em que …
11 fpga  vhdl 


3
Restrições à exportação de componentes como FPGAs
Estou pensando em atualizar os FPGAs em um produto meu, de pequenos Spartan3A-200s para Spartan6s de baixa a média escala. Os Spartan6 são realmente mais baratos, e já superei os 200. Parece que seria um erro projetar em outro Spartan3A neste momento. Meu produto (relacionado ao campo petrolífero) é enviado …
11 fpga 

4
Placa FPGA sugerida [fechada]
Fechadas. Esta questão está fora de tópico . No momento, não está aceitando respostas. Deseja melhorar esta pergunta? Atualize a pergunta para que ela esteja no tópico do Electrical Engineering Stack Exchange. Fechado no ano passado . Este é o meu primeiro olhar sobre o FPGA, mas com grande experiência …
11 fpga  picoblaze 

9
Projetos para iniciantes em um FPGA?
Bloqueado . Esta pergunta e suas respostas estão bloqueadas porque a questão está fora do tópico, mas tem um significado histórico. No momento, não está aceitando novas respostas ou interações. Faltam duas semanas para concluir meu primeiro curso de design de lógica digital na faculdade e, aparentemente, não haverá um …
11 fpga  design  vhdl  verilog 

6
Exemplo de código para filtros FIR / IIR em VHDL?
Estou tentando começar com o DSP na minha placa Spartan-3. Fiz uma placa AC97 com um chip de uma placa-mãe antiga e, até agora, consegui fazer o ADC, multiplicar as amostras por um número <1 (diminuir o volume) e depois o DAC. Agora eu gostaria de fazer algumas coisas básicas …
11 fpga  vhdl  dsp  iir  fir 

Ao utilizar nosso site, você reconhece que leu e compreendeu nossa Política de Cookies e nossa Política de Privacidade.
Licensed under cc by-sa 3.0 with attribution required.