Perguntas com a marcação «synthesis»

3
VHDL: números inteiros para síntese?
Estou um pouco confuso sobre se devo usar números inteiros em VHDL para sinais e portas de síntese, etc. Eu uso std_logic nas portas de nível superior, mas internamente eu estava usando números inteiros variados em todo o lugar. No entanto, deparei-me com algumas referências a pessoas dizendo que você …
17 vhdl  synthesis 


2
Como posso especificar sinais "não me importo" em VHDL?
Nos cursos de Design Lógico, todos aprendemos que é possível minimizar uma função lógica, por exemplo, usando um mapa de Karnaugh ou o algoritmo Quine – McCluskey . Também aprendemos que os valores "Não me importo" aumentam o potencial de minimização. Por exemplo, pegue um arquivo de registro. Os sinais …

3
Ferramentas genéricas gratuitas de síntese da Verilog?
Existem ferramentas de síntese gratuitas ou de código aberto disponíveis que possam converter o Verilog RTL em uma netlist de gate genérica? (composto por genéricos NAND, NOR, XOR, D-flops / registradores, etc. Otimização não é necessária.). Se não for o idioma completo, que tal para um subconjunto "útil" de RTL …

2
Como uma variável VHDL é sintetizada por ferramentas de síntese
Conheço duas maneiras pelas quais uma variável VHDL é sintetizada pela ferramenta de síntese: Variável sintetizada como lógica combinacional Variável sintetizada como uma Trava involuntariamente (quando uma variável não inicializada é atribuída a um sinal ou outra variável) Quais são as outras maneiras pelas quais uma variável VHDL pode ser …
9 vhdl  synthesis  rtl 

Ao utilizar nosso site, você reconhece que leu e compreendeu nossa Política de Cookies e nossa Política de Privacidade.
Licensed under cc by-sa 3.0 with attribution required.