Perguntas com a marcação «vhdl»

A linguagem de descrição de hardware VHDL (VHSIC (circuito integrado de velocidade muito alta)) é uma linguagem de descrição de hardware usada na automação de design eletrônico para descrever e projetar sistemas digitais, como matrizes de portas programáveis ​​em campo e circuitos integrados.





6
VHDL: convertendo de um tipo INTEGER para um STD_LOGIC_VECTOR
Eu construí um contador mod-16, e o resultado da saída é um INTEGER (todos os exemplos que vi usados ​​INTEGER). Eu construí um decodificador de exibição hexadecimal para 7 segmentos e sua entrada é um STD_LOGIC_VECTOR (escrevi dessa maneira porque era fácil mapear a tabela verdade). Gostaria de conectar a …
28 vhdl 


4
VHDL: componente versus entidade
Eu estou querendo saber qual é a diferença entre o componente de uma entidade. Gostaria de saber em quais casos é melhor usar componentes em vez de entidades. Muito obrigado.
25 vhdl  components 


4
std_logic ou std_ulogic?
Parece que o mundo decidiu que std_logic(e std_logic_vector) é a maneira padrão de representar bits em VHDL. A alternativa seria std_ulogic, o que não está resolvido. Isso me surpreende porque, normalmente, você não está descrevendo um ônibus , então você não quer vários drivers e não precisa resolver um sinal. …
24 vhdl 

7
Como aprendo HDL
Eu tenho um curso de Design Digital neste semestre e adoro isso. Agora eu sei que a maior parte do trabalho em sistemas embarcados e design digital é feita primeiro em simuladores de computador e depois implementada usando hardwares. Então, eu queria saber como devo aprender sobre HDL. Eu tenho …
24 simulation  vhdl  verilog  hdl 

4
VHDL que pode danificar o FPGA
Li em algum lugar que um código VHDL ruim pode levar a danos no FPGA. É possível danificar um FPGA com código VHDL? Que tipo de condições causaria isso e quais são os piores cenários?
22 fpga  vhdl 

4
IDE VHDL para um ambiente GNU / linux
Eu tenho que estudar VHDL a partir de 0 e gostaria de ter uma opção que roda em um kernel Linux em vez de NT / Windows: alguma dica? Também posso realmente apreciar alguns bons links para bons recursos VHDL para iniciantes, obrigado.
19 vhdl  ide 

1
Verificação de CPU suave
Atualmente, estou no processo de projetar uma CPU simples em VHDL usando o Xilinx ISE e ISIM. A parte do design está indo notavelmente bem, mas não consigo descobrir uma maneira de fazer a verificação de maneira consistente. No momento, tenho uma bancada de testes VHDL que atualizo para testar …
18 fpga  vhdl  cpu  test 


3
VHDL: números inteiros para síntese?
Estou um pouco confuso sobre se devo usar números inteiros em VHDL para sinais e portas de síntese, etc. Eu uso std_logic nas portas de nível superior, mas internamente eu estava usando números inteiros variados em todo o lugar. No entanto, deparei-me com algumas referências a pessoas dizendo que você …
17 vhdl  synthesis 

Ao utilizar nosso site, você reconhece que leu e compreendeu nossa Política de Cookies e nossa Política de Privacidade.
Licensed under cc by-sa 3.0 with attribution required.