Perguntas com a marcação «signal»

Um sinal é um fluxo de informações na forma de uma quantidade variável. Geralmente na eletrônica, os sinais podem estar na forma de tensões, correntes ou campos eletromagnéticos irradiados.




1
Simulando uma bancada de testes simples com um núcleo de ROM sintetizado
Sou completamente novo no mundo dos FPGA e pensei em começar com um projeto muito simples: um decodificador de 4 bits e 7 segmentos. A primeira versão que escrevi puramente em VHDL (é basicamente uma única combinatória select, sem necessidade de relógios) e parece funcionar, mas eu também gostaria de …



2
O que significa ter um sinal complexo?
Foi-me dito que sinais complexos são uma "conveniência notável para tornar facilmente dois sinais ortogonais para que eles possam ir no mesmo fio". Isso é preciso / o que isso significa? Existe um significado físico para sinais complexos? Multiplicar por j é realmente uma abreviação para multiplicar a parte real …
8 signal 


3
Chokes vs. pequenos capacitores nos cabos de sinal
Eu sei que muitos cabos de sinal (câmera USB para cabos de computador, etc.) possuem bobinas de ferrite volumosas para evitar ruídos. Por que todos eles têm ferrites em vez de capacitores de cerâmica? As pequenas cerâmicas também eliminam o ruído de maneira eficaz e seriam muito menores (provavelmente mais …


5
Detectar sinais elétricos de plantas
Minha filha quer fazer um experimento na feira de ciências que envolve a leitura dos sinais elétricos nas plantas, mas o custo de um voltímetro múltiplo com registro de dados é muito alto. Alguém pode sugerir uma configuração por menos de US $ 100? Talvez ela não precise de uma …

5
Gerando um sinal diferencial
Foi-me dada uma pequena tarefa (na verdade, faz parte de uma tarefa muito maior), na qual o requisito é gerar um sinal que parece que veio de um microfone. Os seguintes requisitos precisam ser mantidos: 1.0Vpp Onda senoidal Diferencial Agora, essas são realmente duas perguntas: Entendo que o sinal precisa …

2
Ferramentas para projeto / modelagem de sinal analógico
Quais são algumas boas ferramentas que simplificarão o processo de projeto e modelagem de sinais analógicos? Atualmente, estou usando o Mathematica para modelar o comportamento do sinal, mas isso exige que eu defina um novo modelo para cada nova peça de funcionalidade (por exemplo, um filtro passa-baixo). Existem ferramentas que …
8 design  signal 
Ao utilizar nosso site, você reconhece que leu e compreendeu nossa Política de Cookies e nossa Política de Privacidade.
Licensed under cc by-sa 3.0 with attribution required.